本文將介紹並概括半導體設備和材料産業鏈及主要廠商。
文︱編輯部整理
圖︱網絡
半導體設備
半導體設備主要運用于集成電路的制造和封測兩個流程,分爲晶圓加工設備、檢測設備和封裝設備,以晶圓加工設備爲主。檢測設備在晶圓加工環節(前道檢測)和封測環節(後道檢測)均有使用。
晶圓加工流程包括氧化、光刻和刻蝕、離子注入和退火、氣相沉積和電鍍、化學機械研磨、晶圓檢測。所用設備包括氧化/擴散爐、光刻機、刻蝕機、離子注入機、薄膜沉積設備(PVD和CVD)、檢測設備等。
據平安證券2019年10月份的報告顯示,晶圓廠投資總金額中,設備投資占比70%-80%,基建和潔淨室投資占比20%-30%。目前在建的8寸和12寸晶圓廠,總投資金額超過900億美元,按照70%的比例測算,累計的相關設備投資超過630億美元。
在市場規模方面,據SEMI數據,2018年全球半導體設備市場達到645.5億美元,同比增長14%。SEMI預計2019年全球市場有所調整,2020年將重回增長。區域分布上,韓國、中國大陸、中國台灣、日本、北美、歐洲分別占比27%、20%、16%、15%、9%、7%。2018年中國大陸首次超過中國台灣地區,成爲全球半導體設備第二大市場。
半導體設備分爲晶圓加工設備、檢測設備、封裝設備和其他設備。SEMI預計,2019年全球晶圓加工設備、檢測設備和封裝設備市場規模分別爲422億美元、47億美元和31億美元。晶圓加工設備是主要設備,占全部設備比重約80%。
半導體材料
半導體材料是指電導率介于金屬與絕緣體之間的材料,半導體材料的電導率在歐/厘米之間,一般情況下電導率隨溫度的升高而增大。半導體材料是制作晶體管、集成電路、電力電子器件、光電子器件的重要材料。
半導體材料市場可以分爲晶圓材料和封裝材料市場。其中,晶圓材料主要有硅片、光掩膜、光刻膠、光刻膠輔助設備、濕制程、濺射靶、抛光液、其他材料。封裝材料主要有層壓基板、引線框架、焊線、模壓化合物、底部填充料、液體密封劑、粘晶材料、錫球、晶圓級封裝介質、熱接口材料。
在半導體材料領域,由于高端産品技術壁壘高,國內企業長期研發投入和積累不足,我國半導體材料在國際分工中多處于中低端領域,高端産品市場主要被歐美日韓台等少數國際大公司壟斷,比如:硅片全球市場前六大公司的市場份額達90%以上,光刻膠全球市場前五大公司的市場份額達80%以上,高純試劑全球市場前六大公司的市場份額達80%以上,CMP材料全球市場前七大公司市場份額達90%。
半導體材料包括半導體制造材料與半導體封測材料,2019年4月2日,SEMI Materials Market Data Subscription公布全球半導體材料2018年銷售額爲519億美元,同比增長10.6%,超過2011年471億美元的曆史高位。其中,晶圓制造材料和封測材料的銷售額分別爲322億美元和197億美元,同比增長率分別爲15.9%和3.0%。2009年,制造材料市場規模與封測材料市場規模相當,從此至今,制造材料市場規模增速一直高于封測材料市場增速。經過近十年發展,制造材料市場規模已達封測材料市場規模的1.62倍。
根據SEMI預測,2019年硅片、電子氣體、光掩膜、光刻膠配套化學品的銷售額分別爲123.7億美元、43.7億美元、41.5億美元、22.8億美元,分別占全球半導體制造材料行業37.29%、13.17%、12.51%、6.87%的市場份額。其中,半導體硅片占比最高,爲半導體制造的核心材料。
産業鏈廠商
廠商名錄
半導體前端設備
光刻
中國大陸:
上海微電子(SMEE):上海微電子是在國家科技部和上海市政府共同推動下,由國內多家企業集團和投資公司共同投資組建的高科技企業。公司成立于2002年,主要從事半導體裝備、泛半導體裝備以及高端智能裝備的設計制造銷售,其中光刻設備是公司的主營業務。公司在光刻設備領域擁有全國最先進的技術。目前公司光刻機可以應用于集成電路産業鏈中晶圓制造、封裝測試,以及平板顯示、高亮度 LED 等領域。
歐洲:
阿斯麥(ASML):總部位于荷蘭艾恩德霍芬,是全球最大的半導體設備制造商之一,爲半導體生産商提供光刻機及相關服務,TWINSCAN系列是目前世界上精度最高,生産效率最高,應用最爲廣泛的高端光刻機型。目前全球絕大多數半導體生産廠商,都向ASML采購TWINSCAN機型。
ASML的産品線分爲PAS系列,AT系列,XT系列和NXT系列。市場上的主力機種是XT系列以及NXT系列,爲ArF和KrF激光光源,XT系列是成熟的機型,分爲幹式和沉浸式兩種,而NXT系列則是現在主推的高端機型,全部爲沉浸式。目前已經商用的最先進機型是Twinscan NXT 1950i,屬于沉浸式光刻機,用來生産關鍵尺度低于38納米的集成電路。
目前市場上提供量産商用的光刻機廠商有三家:ASML、尼康、佳能。根據2007年的統計數據,在中高端光刻機市場,ASML占據大約60%的市場份額。而最高端市場(例如沉浸式光刻機),ASML大約目前占據80%的市場份額。2007年收購睿初(Brion)科技,2012年10月收購Cymer公司。
日本:
尼康(Nikon):尼康是日本著名的相機制造商,成立于1917年,同時也是分步重複半導體生産設備(分檔器)的制造商,世界上僅有的三家能夠制造商用光刻機的公司。
尼康的G-line、I-line步進式光刻機(stepper)、投影式光刻機在全球晶圓廠大量使用。
佳能(Canon):半導體器件制造中最重要的步驟是光刻,其中通過通常稱爲步進機或掃描儀的精密半導體光刻設備將電路圖案從掩模轉移到晶圓或面板。
佳能開發了一系列的半導體光刻設備,旨在滿足傳統半導體晶圓加工之外的廣泛應用的技術要求。産品包括KrF掃描儀/步進器、適用于前端(FEOL)應用的i-line步進器、適用于後端(BEOL)和高級包裝應用的i-line步進器。
沉積
中國大陸:
北方華創(Naura):北方華創是由七星電子和北方微電子戰略重組而成,是目前國內集成電路高端工藝裝備的領先企業。
北方華創主營半導體裝備、真空裝備、新能源锂電裝備及精密元器件業務,爲半導體、新能源、新材料等領域提供解決方案。公司現有四大産業制造基地,營銷服務體系覆蓋歐、美、亞等全球主要國家和地區。
拓荊科技(Piotech):沈陽拓荊科技有限公司成立于2010年4月,是由海外專家團隊和中科院所屬企業共同發起成立的國家高新技術企業。2016年、2017年連續兩年獲評“中國半導體設備五強企業”。公司擁有12英寸PECVD(等離子體化學氣相沉積設備)、ALD(原子層薄膜沉積設備)、3DNANDPECVD(三維結構閃存專用PECVD設備)三個完整系列産品,技術指標達到國際先進水平。産品廣泛應用于集成電路前道和後道、TSV封裝、光波導、LED、3D-NAND閃存、OLED顯示等高端技術領域。
美國:
泛林集團(Lam Research):泛林集團于1980年由林大衛博士創辦,總部位于美國加州的弗裏蒙特,是一家從事集成電路制造、制造、銷售和服務的美國公司,是半導體産業提供晶圓制造設備和服務的主要供應商之一。其産品廣泛分布于半導體制造,包括薄膜沉積、等離子蝕刻、光刻膠帶、晶圓清洗等設備的設計和制造方面。
應用材料公司(Applied Materials):應用材料公司是全球最大的半導體設備和服務供應商,創建于1967年,總部位于美國加利福尼亞州聖克拉拉,主要爲全球的半導體行業開發、制造、行銷並維修半導體晶圓制造設備及相關備件。
應用材料的客戶包括半導體晶圓與集成電路制造商、平面液晶顯示器、太陽能電池與模塊等所有的制造商。應用材料公司還是全球半導體行業中最大且最成功的硅片制造、檢測設備和掩膜設備制造商,同時提供尖端生産工藝、高效服務和先進軟件産品。應用材料的産品對于全球的半導體集成電路市場具有舉足輕重的地位和意義,全球知名的半導體企業均采用應用材料的設備和服務生産集成電路産品。應用材料公司已經連續15 年名列全球半導體設備供應商第一名。
韓國:
Wonik IPS:WONIK IPS于1998年在世界上首次成功實現了ALD設備的量産,同時跻身半導體設備領域的核心企業,並于2014年在半導體CVD設備開發及量産上取得了成功,提高了占有率。
而且,除半導體設備外還具備Display的幹式蝕刻機( Dry Etcher )、PE-CVD 及太陽能電池( Solar Cell )的有/無機蒸鍍機領域等多方面的産品組合,作爲綜合設備公司脫穎而出。2014年在需求日益增長的3D NANS Flash領域的核心生産設備Mold工藝設備的量産化上取得了成功,並于2018年成功進入10納米工藝的DRAM High-K市場。
PSK:PSK自1990年成立以來,一直從事于半導體設備的研發和生産,是的半導體專業生産企業。公司向全世界20多家半導體元件企業和封裝企業利用電漿方式(Plasma),的灰化(Ashing)、清洗(Dry Cleaning)、 蝕刻(Etching) 工藝和用于封裝工藝的WLP Descum、TSV Treatment設備和解決方案。尤其在 灰化工藝和電漿預處理(Descum) 工藝領域居全球第一。
1997年,公司在科斯達克(KOSDAQ)市場上市。
日本:
東京電子(Tokyo Electron):東京電子有限公司是一家日本電子和半導體公司,總部位于東京。東京電子是一家制造集成電路,平板顯示器和光伏電池供應商。東京電子器件株式會社是東京電子有限公司旗下子公司,公司專門制造半導體器件,電子元件和網絡設備。
截至2011年,東京電子是日本IC和PFD設備最大制造商,也是世界第三大IC和PFD設備制造商。2013年9月24日,東京電子和應用材料公司宣布合並。合並後的公司被稱爲Eteris,它將是世界上最大的半導體加工設備供應商。
檢測
中國大陸:
格蘭達科技(Grand):格蘭達是中國精密機電一體化制造的領先供應商。格蘭達從1995年的機械加工和自動化裝置、工裝夾具業務起步,先後建立精密CNC加工、飯金加工、表面處理(噴塗、氧化)、點膠、模具壓鑄和電子組裝等專業部門和團隊。2003年建立研發中心,在半導體封裝設備、硬盤檢測設備、自動化設備、機械手集成應用設備和數控機床設備等領域先後取得了100多個發明專利和實用新型專利。
格蘭達從成立之初在深圳福田租賃600平方米廠房起步,先後購置和建設深圳福田金谷辦公室、深圳坪山裝備産業園及江門數控裝備産業園;並陸續在香港、新加坡、上海、江門、美國等地設立公司或生産基地。
睿勵科學儀器(Raintree):睿勵科學儀器(上海)有限公司是于2005年創建的合資公司,致力于研發、生産和銷售具有自主知識産權的集成電路生産制造工藝裝備産業中的工藝檢測設備。爲用戶提供光學測量設備、光學關鍵尺寸分析系統、镓液態離子源、缺陷檢測、等離子體灰化等産品,廣泛用于科學儀器、微電子等領域,目前擁有的主要産品包括光學檢測設備、硅片厚度及翹曲測量設備及子公司宏觀缺陷檢測設備等。
美國:
KLA:是一家從事半導體及相關納米電子産業的設計、制造及行銷制程控制和良率管理解決方案商,其産品包括晶片制造、晶圓制造、光罩制造、互補式金屬氧化物半導體(CMOS)和圖像感應器制造、太陽能制造、LED制造,資料儲存媒體/讀寫頭制造、微電子機械系統制造及通用/實驗室應用等。
此外,科磊半導體公司還提供翻新的KLA-Tencor工具,連同其KT認證計畫予客戶制造更大的設計規則裝置及産品支援服務。公司産品應用于許多其他行業,包括LED,資料儲存和太陽能等産業,以及一般材料的研究。
韓國:
Unitest:半導體設備廠商,主要産品有半導體後道測試設備,存儲器模組測試設備實力較強。
Techwing:除了設備還生産半導體産業用的材料如 COK。
日本:
日立高科技(Hitachi Hightechnologies):2001年10月,日立儀器集團和半導體制造設備集團合並後,公司名稱更改爲日立高科技公司。日立高科技在滿足小型化和節能要求的電子設備制造領域處于最前沿,提供核心技術的解決方案,例如全球最暢銷的測長SEM和提供高精度的等離子刻蝕系統超細加工、過程制造設備和檢查系統,以及在許多研究領域中使用的電子顯微鏡。産品包括幹蝕刻系統、CD-SEM和缺陷檢查、電子顯微鏡/原子力顯微鏡等。
蝕刻
中國大陸:
中微半導體(AMEC):中微半導體設備(上海)股份有限公司是一家以中國爲基地、面向全球的高端半導體微觀加工設備公司,爲全球集成電路和 LED 芯片制造商提供極具競爭力的高端設備和高質量的服務。中微公司涉足半導體芯 片前端制造、先進封裝、LED 生産、MEMS 制造以及其他微觀制程的高端設備領域。其中中微公司的等離子體刻蝕設備已被廣泛應用于國際一線客戶從 65 納米到 5 納米工藝的衆多刻蝕應用,中微公司開發的用于 LED 和功率器件外延片生産的 MOCVD 設備已在客戶生産線上投入 量産,2018 年公司在全球氮化镓基 LED MOCVD 設備市場占據領先地位。
公司主要産品有:
CCP 刻蝕設備:爲 65 納米到 5 納米及更先進工藝的芯片制造提供創新的解決方案 ;ICP 刻蝕設備:爲 1X 納米及更先進工藝的邏輯和存儲器件刻蝕應用提供創新的解決方案;深硅刻蝕設備:用于 CIS、MEMS 和先進封裝等領域;MOCVD 設備:用于 LED 和功率器件外延片批量生産;VOC 淨化設備:用于平板顯示領域 VOC 廢氣處理。
2019年7月,科創板上市。
光刻膠加工
中國大陸:
芯源微電子(Kingsemi):沈陽芯源微電子設備股份有限公司成立于2002年,是由中科院沈陽自動化研究所發起創建的國家高新技術企業,專業從事半導體生産設備的研發、生産、銷售與服務,致力于爲客戶提供半導體裝備與工藝整體解決方案。
産品包括光刻工序塗膠顯影設備(塗膠/顯影機、噴膠機)和單片式濕法設備(清洗機、去膠機、濕法刻蝕機),産品可用于6英寸及以下單晶圓處理(如LED芯片制造環節)及8/12英寸單晶圓處理(如集成電路制造前道晶圓加工及後道先進封裝環節)。
2019年12月16日于科創板上市。
日本:
SCREEN:DAINIPPON SCREEN是日本半導體設備和LCD 生産設備廠。公司設備制造包括半導體、LCD、印刷電路板制程設備,客戶遍及日本、韓國和台灣。另外還提供圖像處理設備,如CTP版(打印輸出設備)、數字印刷、印刷制版設備及其他字體及維修保養服務。2015年1月,公司更名爲SCREEN Holdings Co.Ltd。
SCREEN現在正在發展和生産印刷領域及世界領先的高科技領域的印刷技術數字化設備,如電子領域的半導體制造設備,FPDs (平板顯示器)和印刷電路板。SCREEN提供各領域之半導體晶圓設備,包含洗淨、蝕刻、顯影/塗布等制程用途,其中洗淨設備于半導體業界具有極高之市占率,同時隨著半導體制程技術進步不斷推陳出新設備産品。
清洗
中國大陸:
盛美半導體(ACM):盛美半導體設備(上海)有限公司的前身是1998年成立于美國硅谷的ACM Research,主營電抛光技術的研究。然而,當時由于市場不成熟、迫于資金壓力,同時看到了國內市場前景,王晖隨後回國成立了合資公司盛美半導體。盛美半導體設備(上海)有限公司成立于2005年5月,是一家注冊于中國上海張江高科技園區的、具備世界領先技術的半導體設備制造商,公司在華投資逾三億。是中國一家專注于集成電路制造産業中電鍍銅設備、抛銅設備、單晶圓清洗設備的研發及生産的公司。
日本:
芝浦機電(Shibaura Mechatronics):芝浦機電有限公司成立于1939年10月12日,産品包括FPD制造設備、半導體制造設備、真空應用設備以及其他各種應用設備。
半導體材料
基板
中國大陸:
珠海越亞(Access):珠海越亞半導體股份有限公司最早由中國、以色列兩國企業合資組建,主要從事具有自主知識産權的剛性有機IC無芯封裝基板的研發、生産和銷售。自2006年成立以來,公司以“新型集成電路支撐結構及其制作方法”等爲核心進行高新技術成果轉化,成爲世界上首家采用“銅柱法”生産無芯封裝基板並實現量産的高科技企業。
公司主要爲客戶提供封裝基板解決方案以及定制化的高密度有機無芯IC封裝基板,産品經過封裝測試廠的封測後,最終運用于手機、平板電腦、遊戲機等便攜式終端消費電子産品。目前公司主要研發生産應用于模擬芯片封裝領域的無線射頻模塊(RF Module)封裝基板,此類産品已處于量産階段,已通過國際芯片企業威訊聯合半導體(RFMD)、安華高科技(Avago)等客戶認證並獲得其量産訂單,該等客戶已進入iPhone、三星Galaxy系列等智能手機以及iPad、Galaxy Tab等平板電腦的供應鏈。
興森科技(Fastprint):興森科技成立于1999年,是國內知名的印制電路板樣板、快件、小批量板的設計及制造服務商,爲該細分領域的龍頭企業,在PCB樣板、小批量板市場有較強的競爭力和議價能力。公司繼續圍繞PCB業務、軍品業務、半導體業務三大業務主線開展。其中PCB業務包含樣板快件、小批量板的設計、研發、生産、銷售以及表面貼裝;半導體業務産品包含IC封裝基板和半導體測試板。
半導體業務包含IC封裝基板和半導體測試板業務,IC封裝基板采用設計、生産、銷售的經營模式,在各種産品中均有應用,包括手機PA及服務器使用的內存條、SSD硬盤使用的NAND Flash,移動設備中的存儲MMC等;半導體測試板采用提供設計、銷售、制造、表面貼裝整體解決方案的一站式服務經營模式,産品應用于從晶圓測試到封裝前後測試的各流程中,類型包括接口板、探針卡和老化板,公司目前的半導體測試板産品主要爲接口板,子公司上海澤豐爲客戶提供半導體測試綜合解決方案,並將美國Harbor公司、公司本部三方各自的優勢有效協同,爲客戶提供一站式服務。
深南電路(SCC):深南電路股份有限公司,成立于1984年,注冊資本3.3936億元,總部坐落于中國廣東省深圳市,主要生産基地位于中國深圳、江蘇無錫及南通,業務遍及全球,在北美設有子公司,歐洲設有研發站點。
深南電路擁有印制電路板(背板、高速多 層板、多功能 金屬基板、厚 銅板、高頻微 波板、剛撓結合板)、封裝基板(存儲芯片封裝 基板、微機電 系統封裝基 板、射頻模塊 封裝基板、處理器芯片封裝基板和高速通信封裝基板)及電子裝聯(PCBA板級、 功能性模塊、整機産品/系統總裝)三項業務。
韓國:
三星電機(SEMCO):三星電機是韓國最大的企業集團三星集團旗艦子公司,也是世界上營收最大的電子工業制造商。三星電機有限公司創建于1973年,起初是一個電子産品核心部件的生産商,逐步成長爲韓國擁有61.2億美元總收入的電子零部件生産業的領頭羊,並在全球市場中扮演著重要角色。公司由四個部門構成:LCR(電感電容電阻)部門負責的多層陶瓷貼片電容和極性電容;ACI(高級電路互連)部門負責高密度互連和IC(集成電路)的業務;CDS(電路驅動解決方案)部門的業務細分數字調諧器,網絡模塊,能源模塊和其他普通模塊;OMS(光感及機械電子)部門業務包括圖像傳感器模塊以及精密馬達等。
LGI:
晶圓
中國大陸:
上海硅産業集團(NSIG):硅産業集團主要從事半導體硅片的研發、生産和銷售,是中國大陸規模最大的半導體硅片企業之一,是中國大陸率先實現300mm半導體硅片規模化銷售的企業,提供的産品類型涵蓋300mm抛光片及外延片、200mm及以下抛光片、外延片及SOI硅片。
旗下公司有Okmetic”、 “新晟半導體”、 “新傲科技”等。
上海超硅半導體(AST):上海超硅半導體有限公司擁有目前國內最大的8英寸硅片抛光生産線和藍寶石材料生産線;公司産品包括半導體硅材料、LED用藍寶石材料、太陽能電池用硅材料、複合半導體材料、MEMS等特定使用材料以及相關的各種技術咨詢與服務。公司擁有按一流超大規模集成電路生産要求設計的最高淨化級別爲10級0.1um的標准廠房和具有生産高質量穩定規模化生産水平的生産設備和完善的質量監控與表征設備體系。
中欣晶圓半導體(Ferrotech):Ferrotec(中國)于1992年成立于浙江杭州,是一家由日本Ferrotec株式會社在華設立的集産品研發、制造、銷售于一體的多元化企業,旗下管理的20多家公司遍布中國各地,爲國內外客戶提供具有世界先進水平的材料、器件、裝備和系統解決方案。其中Ferrotec株式會社行銷網絡遍布全球,在中國、日本、美國、德國、法國、意大利、西班牙、俄羅斯、韓國、馬來西亞等地設立據點公司,是一家擁有多項高端生産技術的跨國集團。
Ferrotec(中國)以磁性流體技術和磁流體密封技術爲基石,從事磁性流體密封圈、半導體硅片、熱電半導體致冷材料與器件、半導體石英制品、精密陶瓷制品、半導體真空傳動裝置及大型腔體、太陽能發電材料、電子束蒸發鍍膜機等産品的研發、制造和銷售,産品涉及電子、半導體、機械加工、太陽能發電、汽車/新能源汽車、航空航天、家用電器和醫療器械等衆多領域。
北京奕斯偉科技(ESWIN):北京奕斯偉計算技術有限公司(ESWIN)創辦于2016年3月,核心事業包括物聯網及人機交互集成電路設計、封測和材料三大領域。産品廣泛應用于顯示器件、人工智能、車聯網、可穿戴設備等領域。
ESWIN總部設在北京,在北京、成都、合肥、蘇州、台灣、韓國設有研發中心,同時在成都、合肥、蘇州等地也擁有多個制造基地和産業園區,並在香港設有營銷及技術創新平台,産品覆蓋歐、美、亞等全球主要地區。
上海新傲科技(Simgui):上海新傲科技有限公司成立于2001年,2009年6月整體變更改制爲上海新傲科技股份有限公司,由中科院上海微系統所牽頭,聯合中外投資者設立。
新傲公司目前是中國領先的SOI材料生産基地,也是世界上屈指可數的SOI材料規模化供應商之一。擁有SIMOX(注氧隔離)、Bonding(鍵合)和Simbond(完全自主開發的SOI新技術)和Smart-cut四類SOI晶片制造技術,能夠提供100mm(4英寸)、125mm(5英寸)和150mm(6英寸)SOI晶片和SOI外延片,能批量提供8英寸SOI片。産品系列包括高劑量、低劑量、超薄、高阻SIMOX晶片,Bonding晶片,Simbond晶片和基于Smart-cut技術的晶片,並可根據用戶需求外延到所需的表層硅厚度。
新傲公司目前也是中國技術領先的外延硅片供應商,可以提供4-6英寸的規格與要求的外延硅産品和外延加工服務,現已開始批量提供8英寸外延片。
天津中環半導體(Zhonghuan):天津中環半導體股份有限公司成立于1999年,前身爲1969年組建的天津市第三半導體器件廠,2004年完成股份制改造,2007年4月在深圳證券交易所上市,是生産經營半導體材料和半導體集成電路與器件的高新技術企業。公司主營業務包括高壓器件、功率集成電路與器件、單晶硅和抛光片四大方面,形成了具有産品特征和行業屬性強關聯的多元化經營。
在高壓器件領域,主要有高壓二極管、硅整流二極管、硅橋式整流器、微波爐用高壓二極管、工業用特種高壓二極管等産品,並且將擴散片、GPP芯片、TVS芯片等業務作爲發展方向。在功率器件領域,功率器件事業部6英寸0.35微米功率半導體器件生産線是天津市二十大重點工業項目,是一條以半導體芯片制造、測試爲目的的生産線,該生産線擁有國內先進的6英寸線生産設備,主要産品爲功率集成電路,以及VDMOS、Trench MOS、Schottky、FRD、IGBT等系列功率分立器件。
在單晶硅材料領域,形成了以直拉硅棒、區熔硅棒、直拉硅片、區熔硅片爲主的四大産品系列,是中國硅單晶品種最齊全的廠家之一。産品主要應用于半導體集成電路、半導體分立器件、電力電子器件、太陽能電池和其他微電子器件。
韓國:
SK Siltron:LG Siltron原是LG旗下制造半導體芯片基礎材料半導體硅晶片的專門企業。2017年1月,SK集團收購了LG Siltron 51%的股份,並將其更名爲SK Siltron。
中國台灣:
環球晶圓(GlobalWafers):環球晶圓在台灣、中國大陸、日本與歐美等地均有布局,公司已與日本半導體設備廠Ferrotec合作建置上海8英寸硅晶圓廠,初期月産能約達10萬片。同時,雙方也已洽商在杭州另行興建8英寸廠,初步規劃于2019年底時可開始生産。
環球晶圓是中美硅晶的子公司,2012年收購通過前身爲東芝陶瓷的 CovalentMaterials(現爲CoorsTek)的半導體晶圓業務,擴大了業務範圍。後通過收購全球第四大半導體硅晶圓制造與供貨商SunEdisonSemiconductor一躍成爲第三大硅晶圓供貨商。
台塑勝高(Formosa SUMCO):台塑勝高科技股份有限公司于1995年成立並與日本小松電子材料公司簽訂特許授權及協助合約,于1998年8吋建廠完成,産出第一根8吋晶棒。
台塑勝高專注于矽晶圓産業領域,結合SUMCO集團優越生産技術和台塑企業優秀管理制度,不斷追求品質精進和成本合理化以提供物廉質優矽晶圓予國內各大電子廠,並借由提升市場占有率,以強化公司整體競爭力。
台灣合晶(Wafer Works):Wafer Works是一家世界級的電子材料供應商,專門生産各種摻雜的硅晶片,該晶片廣泛用于電力和數字應用的半導體器件中。通過垂直整合的單晶錠,抛光和Epi晶圓産品線爲客戶提供廣泛的晶圓解決方案。
日本:
日本信越(Shin-Etsu):全球集成電路用硅片制造商巨頭。作爲高科技材料的超級供應商,信越集團不斷地提供著最尖端的技術和産品,其半導體硅、聚氯乙烯等原材料的供應在全球首屈一指。
目前信越集團制造的高性能有機硅産品多達 4000 多種,現已廣泛應用于電子、電氣、汽車制造、機械制造、化工、紡織、食品工業以及建築工程領域,並在所有産業方面提供了高附加價值的産品。
日本勝高(SUMCO):SUMCO 成立于1999年7月30日,主要業務爲半導體用硅晶片的制造和銷售。
Ferrotec:Ferrotec于1980年9月在東京都港區成立,負責進口和銷售計算機密封件,真空密封件和磁性流體。1992年1月在中國杭州成立杭州大和熱磁電子有限公司,95年在中國上海成立上海申和熱磁電子有限公司。
Ferrotec共有8個主要産品類型,熱模塊、功率半導體基板、磁性流體、真空密封、石英産品、陶瓷制品、CVD-SiC産品以及半導體硅晶片。
RS Technologies:RS Technologies是全球最大的晶圓再生制造公司之一,業務範圍包括電子材料、電子器械部品、通信器械部品材料的制造、加工、再生和銷售;太陽光發電事業 、半導體設備的收購、銷售;半導體材料、部件的銷售;半導體晶圓制造工程中的技術咨詢服務。
光刻
中國大陸:
北京科華微電子(Kempur):北京科華微電子材料有限公司是一家中美合資企業,成立于2004年,産品覆蓋KrF(248nm)、I-line、G-line、紫外寬譜的光刻膠及配套試劑。
科華微電子擁有中高檔光刻膠生産基地:2005年,建成百噸級環化橡膠系紫外負性光刻膠和千噸級負性光刻膠配套試劑生産線;2009年5月,建成高檔G/I線正膠生産線(500 噸/年)和正膠配套試劑生産線(1000 噸/年);2012年12月,科華微電子建成248nm光刻膠生産線。
科華微電子光刻膠産品序列完整,産品應用領域涵蓋集成電路(IC)、發光二極管(LED)、分立器件、先進封裝、微機電系統(MEMS)等。産品類型覆蓋KrF(248nm)、G/I線(含寬譜),主要包括:KrF光刻膠DK1080、DK2000、DK3000系列;g-i line光刻膠KMP C5000、KMP C7000、KMP C8000、KMP EP3100系列和KMP EP3200A系列;Lift-off工藝使用的負膠KMP E3000系列;用于分立器件的BN、BP系列等。
韓國:
東進半導體(Dongjin Semi):Dongjin Semichem成立于1967年,生産和銷售用于半導體和顯示器的材料,用于替代能源的材料(太陽能電池,燃料電池)和發泡劑。半導體和顯示材料包括光致抗蝕劑,減反射膜(BARC),旋塗碳(SOC),研磨劑(CMP漿料),濕化學藥品,有色抗蝕劑,有機絕緣體,柱狀間隔物等有助于現代化和整合的化學工藝材料。
憑借在發泡劑領域積累的聲譽和技術,Dongjin Semichem在1980年代初對半導體和顯示材料行業進行了開拓性投資。1983年,在完成EMC業務之後,該公司進入了半導體材料領域,並在硅片上應用了用于半導體的光刻膠,以形成衆多半導體電路的精細圖案。
JSR:日本JSR是全球最大的光刻膠生産廠,占據全球份額24%,也是三星、SK海力士進口光刻膠的主要來源。
東京應化工業(Tokyo Ohka Kogyo):東京應化工業成立于1940年10月25日,業務範圍包括生産制造材料,例如用于半導體和顯示器的光刻工藝的光敏樹脂(光致抗蝕劑)和高純度化學品,各種加工設備(例如半導體和顯示器制造設備)以及其他無機和有機化學品制造銷售。
濺擊
中國大陸:
江豐電子(KFMI):甯波江豐電子材料股份有限公司創建于2005年,專業從事超大規模集成電路制造用超高純金屬材料及濺射靶材的研發生産,于2017年6月在深交所上市。
江豐電子在全球先端7nm FinFET (FF+)技術超大規模集成電路制造領域批量應用。目前江豐電子的銷售網絡覆蓋歐洲、北美及亞洲各地,産品應用到多家國內外知名半導體、平板顯示及太陽能電池制造企業。
化學機械研磨液
中國大陸:
安集微電子(Anji):安集微電子科技(上海)股份有限公司是一家以自主創新爲本,集研發、生産、銷售及技術服務爲一體的高科技半導體材料公司。公司主營業務爲關鍵半導體材料的研發和産業化,目前産品包括不同系列的化學機械抛光液和光刻膠去除劑,主要應用于集成電路芯片制造和先進封裝領域。
公司位于上海浦東新區,在上海擁有一座研發中心和一座生産基地,並在台灣地區、浙江甯波分別設立全資子公司。目前客戶遍及中國大陸、台灣地區、美國、歐洲、新加坡、馬來西亞等國家和地區。
韓國:
Soulbrain:Soulbrain 是爲半導體和平板顯示器制造商提供特種電子材料的關鍵供應商。
KC Tech:除了設備還生産半導體及面板産業用的各種化學品。
日本:
日本富士美(Fujimi Incorporated):公司經營範圍:提供化學機械研磨和晶圓研磨用漿料。
日本 FUJIMI 公司,擁有世界高科技領域所使用研磨材料最大的市場占有率。在超過半個世紀的光電産業發展中,隨著各類新型材料的出現 FUJIMI 公司針對被加物件各異的理化特性,研發了各類不同的研磨微粉、抛光材料,充分地滿足了市場需求。
化學品
中國大陸:
潤瑪電子材料(Runma):江陰潤瑪電子材料股份有限公司于2002年創立,2012年整體變更設立股份有限公司。目前公司産品已在國內半導體分立器件、大規模集成電路、硅材料處理、平面顯示器行業的主要廠家廣泛應用,特別是自主開發的RM-A、RM-B系列超淨高純電子化學品,填補了國內空白,替代部分進口,已成熟應用于多家大型微電子領域企業。
上海華誼(Huayi):上海華誼(集團)公司是由上海市政府國有資産監督管理委員會授權,通過資産重組建立的大型化工企業集團。
上海華誼80%的核心資産已在上海證券交易所成功上市;旗下企事業單位有雙錢輪胎集團有限公司、上海華誼能源化工有限公司、上海天原集團有限公司、上海華誼精細化工有限公司、上海華誼集團投資有限公司、上海氯堿化工股份有限公司、上海華誼丙烯酸有限公司、上海華誼集團資産管理有限公司、上海市化工科學技術情報研究所、上海市化工環境保護監測站等。其中“華誼集團”、“氯堿化工”同時發行A、B股。
上海新陽半導體(Sinyang):上海新陽半導體材料股份有限公司創立于1999年7月,2011年6月在深圳證券交易所創業板上市。上海新陽形成了擁有完整自主可控知識産權的電子電鍍和電子清洗兩大核心技術,用于晶圓電鍍與晶圓清洗的第二代核心技術已達到世界領先水平。産品廣泛應用于集成電路制造、3D-IC先進封裝、IC傳統封測等領域,滿足芯片銅制程90-28nm工藝技術要求,相關産品已成爲多家集成電路制造公司28nm技術節點的基准材料(Base Line)。
公司已立項研發集成電路制造用高分辨率193nm ArF光刻膠及配套材料與應用技術,擁有完整自主可控知識産權的高端光刻膠産品與應用即將形成公司的第三大核心技術,公司在國內半導體功能性化學材料領域的領先地位將更加穩固。
韓國:
SK Materials:據官網資料介紹,SK Materials是一家氣體&信息技術材料産業的綜合解決方案提供商,成立于1982年11月10日,業務包括清洗氣體(三氟化氮)、沉積氣體(六氟化鎢、甲硅烷、乙硅烷、氯硅烷、二氯氫硅等)、大宗氣體(氧氣、氮氣、氩氣等)、蝕刻氣體(氟甲烷、 全氟丁二稀、二氟甲烷等)、前驅體(锆前驅體、硅前驅體、钛前驅體等)、高功能性濕化學法、其他高純氣體(氪、四氯化硅、氦)以及一些相關綜合服務。
Wonik Materials:圓益子公司,生産半導體及面板産業用的特殊氣體。
DNF:半導體材料廠商,主要産品有有機金屬化合物,DPT,High-k 産品,HCDS 産品,ACL 産品等等。
晶圓代工廠
中國大陸:
中芯國際(SMIC):中芯國際集成電路制造有限公司是世界領先的集成電路晶圓代工企業之一,也是中國內地技術最先進、配套最完善、規模最大、跨國經營的集成電路制造企業,提供0.35微米到14納米不同技術節點的晶圓代工與技術服務。中芯國際總部位于上海,擁有全球化的制造和服務基地。在上海建有一座300mm晶圓廠和一座200mm晶圓廠,以及一座控股的300mm先進制程晶圓廠在建設中;在北京建有一座300mm晶圓廠和一座控股的300mm先進制程晶圓廠;在天津和深圳各建有一座200mm晶圓廠;在江陰有一座控股的300mm凸塊加工合資廠。中芯國際還在美國、歐洲、日本和中國台灣設立營銷辦事處、提供客戶服務,同時在中國香港設立了代表處。
華虹集團(Hua Hong):上海華虹(集團)有限公司成立于1996年,是國家“909”工程的成果與載體。華虹集團在建設運營我國第一條深亞微米超大規模8英寸集成電路生産線的同時,逐步發展成 爲以芯片制造業務爲核心,集成電路系統集成和應用服務、芯片制造工藝研發、電子元 器件貿易、海內外風險投資等業務平台共同發展的集成電路産業集團。
上海華力微電子(Shanghai Huali):上海華力提供廣泛的工藝技術平台及配套IP解決方案,全面應用于手機通信、消費類電子、智能卡、物聯網、穿戴電子以及汽車電子等終端産品;同時也專注于差異化路線,重點布局射頻、高壓、嵌入式閃存、超低功耗、NOR閃存和圖像傳感器等特色工藝平台,致力于爲國內外芯片設計公司、IDM公司和其他系統公司提供先進的工藝技術和全面的芯片制造服務。
上海先進半導體(ASMC):上海先進半導體制造有限公司于1988年由中荷合資成立爲上海飛利浦半導體公司,1995年易名爲上海先進半導體制造有限公司,2004年改制爲上海先進半導體制造股份有限公司,2019年被上海積塔半導體有限公司吸收合並,改制爲上海先進半導體制造有限公司。
上海先進是一家大規模集成電路芯片制造公司。目前,公司有5英寸、6英寸、8英寸晶圓生産線,專注于模擬電路、功率器件的制造,8英寸等值晶圓年産能66.4萬片,上海先進是國內最早從事汽車電子芯片、IGBT芯片制造的企業。公司憑借超過三十年的芯片制造經驗、先進的工藝設備及嚴格的質量管理體系,通過引進、消化、吸收、創新,在模擬電路、功率器件芯片代工領域具有領先地位。
華潤上華(CSMC):無錫華潤上華科技有限公司隸屬于華潤集團旗下負責半導體業務的高科技公司——華潤微電子有限公司。華潤上華及其附屬公司于1997年在中國大陸開創開放式晶圓代工經營模式的先河,爲客戶提供集成電路制造服務。
華潤上華擁有國內最大的六英寸代工線和一條八英寸代工線,總部和生産線設于無錫,在上海、香港和台灣均設有辦事處。華潤上華的六英寸生産線是國內首家開放式晶圓代工廠,以産能計爲目前國內最大的六英寸代工企業,月産能21萬片。八英寸生産線目前月産能已達6.5萬片,制程技術將提升至0.13微米。
思恩技術(SIEN):深圳市思恩技術有限公司是一家集科研,設計,生産,銷售爲一體的高科技企業,是專業集成電路測試方案提供商。思恩技術擁有十多年IC測試行業經驗背景,可根據客戶需求定制開發各種不同功能應用的IC測試方案及整機設備,測試方案涵蓋:數字類IC、模擬類IC、數模混合類IC、存儲器類IC、分立器件類IC、MCU類IC等。
士蘭微電子(Silan):杭州士蘭微電子股份有限公司,是一家專業從事集成電路以及半導體微電子相關産品的設計、生産與銷售的高新技術企業。士蘭微電子目前的産品和研發投入主要集中在以下三個領域:以消費類數字音視頻應用領域爲目標的集成電路産品,包括以光盤伺服爲基礎的芯片和系統,現已成功地向市場推出了單芯片的CD播放機系統、DISCMAN系統、MP3/WMA數字音頻解碼等系統和産品、單芯片的VCD系統等,並即將推出單芯片的DVD系統和其他數字音視頻産品。
粵芯半導體(CanSemi):廣州粵芯半導體技術有限公司于2017年12月在廣州開發區中新知識城設立,是國內第一座以虛擬IDM (Virtual IDM) 爲營運策略的12英寸芯片廠,也是廣州第一條12英寸芯片生産線。
粵芯半導體項目投資288億元,新建廠房及配套設施共占地14萬平方米。建成達産後,粵芯半導體將實現月産40,000片12英寸晶圓的生産能力,産品包括微處理器、電源管理芯片、模擬芯片、功率分立器件等,滿足物聯網、汽車電子、人工智能、5G等創新應用的模擬芯片需求。
華潤微電子(CR Micro):華潤微電子有限公司是華潤集團旗下負責微電子業務投資、發展和經營管理的高科技企業。公司業務包括集成電路設計、掩模制造、晶圓制造、封裝測試及分立器件,業務範圍遍布無錫、深圳、上海、重慶、香港、台灣等地。目前擁有6-8英寸晶圓生産線5條、封裝生産線2條、掩模生産線1條、設計公司3家,爲國內擁有完整半導體産業鏈的企業,並在特色制造工藝技術居國內領導地位。
美國:
格羅方德半導體(GlobalFoundries):格羅方德半導體股份有限公司是一家總部位于美國加州硅谷桑尼維爾市的半導體晶圓代工廠商, 成立于2009年3月。格羅方德半導體股份有限公司由AMD拆分而來、與阿聯酋阿布紮比先進技術投資公司(ATIC)和穆巴達拉發展公司(Mubadala)聯合投資成立的半導體制造企業。
2017年,格羅方德半導體股份有限公司12英寸晶圓成都制造基地項目,在成都正式簽約並舉行開工儀式。該基地是全球首條22納米FD-SOI先進工藝12英寸晶圓代工生産線
IBM:IBM1911年創立于美國,是全球最大的信息技術和業務解決方案公司。IBM在Vermont于1988年創建200mm生産線,産能60,000片,工藝能力 Analog,Logic,Memory及Mixed Signal。並在EastFishkil于2001年創建300mm的R&D線及2002年投資了超過25億美元,興建世界上最先進的300毫米晶園制造生産線,並開展代工服務。
中國台灣:
台積電(TSMC):台灣積體電路制造股份有限公司,簡稱台積電,屬于半導體制造公司。成立于1987年,是全球第一家專業積體電路制造服務(晶圓代工foundry)企業,總部與主要工廠位于台灣新竹科學園區。
聯華電子(UMC):聯電成立于1980年,是台灣第一家半導體公司。聯電是世界晶圓專工技術的領導者,持續推出先進制程技術並且擁有半導體業界爲數最多的專利。聯電的客戶導向解決方案能讓芯片設計公司利用本公司尖端制程技術的優勢,包括通過生産驗證的65納米制程技術、45/40納米制程技術、混合信號/RFCMOS技術,以及其它多樣的特殊制程技術。聯電在全球約有12,000名員工,在台灣、日本、新加坡、歐洲及美國均設有服務據點,以滿足全球客戶的需求。
威兆半導體(Vanguard):威兆半導體是專業從事等立器件系列的設計及半導體微電子相關産品研發的高科技企業。
威兆現已經成爲少數同時具備低壓,中壓,高壓全部系列大功率POWER MOSFET分立器件,以及特殊半導體制程設計能力的先進IC設計公司。産品廣泛應用于計算機,消費類電子,LCD/LED顯示器,通訊電源,工業電源,以及太陽能,風能,锂電等新能源産業。此外,威兆專注于大功率MOSFET器件研發設計。産品涉及新型IGBT、超結新型器件、高\中\低壓場效應管、超低壓降肖特基、快恢複二極管及器件模塊化應用設計;采用最新工藝平台設計各類新工藝結構産品,致力于提高産品在系統中的能效轉換。
後道封裝和測試
中國大陸:
長電科技(JCET):長電科技是全球領先的集成電路系統集成和封裝測試服務提供商,提供全方位的芯片集成一站式服務,包括集成電路的系統集成封裝設計、技術開發、産品認證、晶圓中測、Wafer Bumping、芯片成品測試並向世界各地的半導體供應商發貨。
通過先進的晶圓級WLP、2.5D / 3D和系統級SiP封裝技術和可靠的Flip Chip和引線互聯封裝技術,長電科技的産品和研發技術涵蓋了所有集成電路應用,包括移動、通信、計算、消費、汽車、工業等領域。JCET在中國、新加坡、韓國擁有三大研發中心及六大集成電路成品生産基地, 營銷辦事處分布于世界各地,可爲國際和中國國內的客戶提供緊密的技術合作和高效的産業鏈支持。
通富微電子(Tongfu):通富微電子股份有限公司成立于1997年10月,2007年8月在深圳證券交易所上市。
通富微電專業從事集成電路封裝測試, 擁有Bumping、WLCSP、FC、BGA、SiP等先進封測技術,QFN、QFP、SO等傳統封測技術以及汽車電子産品、MEMS等封測技術;以及圓片測試、系統測試等測試技術。公司在國內封測企業中率先實現12英寸28納米手機處理器芯片後工序全制程大規模生産,包括Bumping、CP、FC、FT、SLT等。公司的産品和技術廣泛應用于高端處理器芯片(CPU 、GPU)、存儲器、信息終端、物聯網、功率模塊、汽車電子等面向智能化時代的雲、管、端領域。全球前十大半導體制造商有一半以上是其客戶。
天水華天(Tianshui Huatian): 天水華天科技股份有限公司成立于2003年12月25日,2007年11月20日在深圳證券交易所挂牌上市交易。
公司主要從事半導體集成電路封裝測試業務。目前公司集成電路封裝産品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS等多個系列,産品主要應用于計算機、網絡通訊、消費電子及智能移動終端、物聯網、工業自動化控制、汽車電子等電子整機和智能化領域。公司集成電路年封裝規模和銷售收入均位列我國同行業上市公司第二位。
晶方半導體(CSP):2005年6月, 蘇州晶方半導體科技股份有限公司成立于蘇州,是一家致力于開發與創新新技術,爲客戶提供可靠的,小型化,高性能和高性價比的半導體封裝量産服務商。
晶方科技的CMOS影像傳感器晶圓級封裝技術,徹底改變了封裝的世界,使高性能,小型化的手機相機模塊成爲可能。這一價值已經使之成爲有史以來應用最廣泛的封裝技術,現今已有近50%的影像傳感器芯片可使用此技術,大量應用于智能電話,平板電腦,可穿戴電子等各類電子産品。
中芯長電(SJ Semi):中芯長電半導體(江陰)有限公司是中國大陸技術先進、規模大的集成電路芯片制造企業:中芯國際集成電路制造有限公司,與大陸規模大的後段封裝測試企業:江蘇長電科技股份有限公司合資組建的,致力于在中國發展世界領先的硅片級封裝測試業務的外商獨資企業,首期重點發展先進的12英寸凸塊加工(bumping)及配套晶圓芯片測試(cp testing)業務。
深科技(Kaifa):深圳長城開發科技股份有限公司成立于1985年, 致力于提供計算機與存儲、通訊與消費電子、半導體、醫療器械、汽車電子、商業與工業産品的制造服務和自動化設備、計量系統及物聯網系統的研發生産服務。深圳彩田園區是深圳政府授予的特色存儲産業園,是中國先進的通訊電子産品制造企業之一,爲全球多家一線品牌提供技術制造服務,年産智能手機5000萬台;是中國知名的智能電表及控制系統出口企業,累積出口高端智能電表到歐洲、南亞和東南亞等地4400萬台;是中國知名的半導體存儲模組制造企業,年産3000萬片;是中國先進的DRAM/flash封裝測試企業,月産能4500萬顆。
佰維存儲(Biwin):佰維專注爲客戶提供優質的存儲産品,致力于成爲行業一流的存儲解決方案提供商。佰維專注存儲領域24載,造就了佰維穩健的上遊資源整合能力、業內領先的存儲算法及固件開發能力、優異的硬件設計能力、強大的測試能力和以SiP爲核心的先進封裝制造能力這5大優勢。可爲客戶提供eMMC、eMCP、UFS、LPDDR、ePOP、SPI NAND、uMCP、BGA SSD以及2.5”、U.2、M.2、DOM、AIC PCIe、特種SSD、移動SSD、內存模組等全系列存儲産品,並針對客戶多元化的存儲需求,提供具備高可靠性、高性能、小尺寸、斷電保護、加密支持、寫入保護、寬溫運行、安全刪除等特點的産品。
美國:
艾克爾科技(Amkor):Amkor是全球最大的半導體封裝和測試服務供貨商,占全球半導體市場的30%份額,在全球多個國家設有工廠。
Amkor主要服務于集成器件制造商、半導體公司、合同代工廠、通訊、消費電子、網絡、計算、汽車和工業市場,在半導體封裝和測試技術方面居世界領先地位,除了承接半導體芯片測試業務外還銷售芯片制造服務,顧客包括計劃全部知名的半導體公司,如:拓朗半導體、安華高科技、Pte、飛思卡爾、英特爾、索尼半導體公司以及東芝公司等。
高新星科技(R&D Altanova):美國高新星科技股份有限公司成立于1969年,是美國領先的集成電路自動測試設備供應商,致力于爲客戶提供先進的半導體自動化設備和系統測試界面板解決方案。産品主要爲半導體測試板卡及電子系統測試的界面板平台。
中國台灣:
日月光集團(ASE):日月光集團爲全球第一大半導體制造服務公司之一,長期提供全球客戶最佳的服務與最先進的技術。自1984年設立至今,專注于提供半導體客戶完整之封裝及測試服務,包括晶片前段測試及晶圓針測至後段之封裝、材料及成品測試的一元化服務。客戶也可以透過日月光集團中的子公司環隆電氣,提供完善的電子制造服務整體解決方案。
京元電子(KYEC):京元電子股份有限公司成立于1987年5月,目前在全球半導體産業上下遊設計、制造、封裝、測試産業分工的型態中,已成爲最大的專業測試公司。總公司座落在新竹市公道五路旁,生産基地則位於苗栗縣竹南鎮。京元電子公司的工廠占地約20,000坪,廠房樓地板面積約92,000坪,無塵室面積則達56,000馀坪。晶圓針測量每月産能40萬片,IC成品測試量每月産能可達4億顆。
矽品科技(SPIL):台灣矽品精密工業股份有限公司成立于1984年5月,主要營業項目爲從事各項集成電路封裝之制造、加工、買賣及測試等相關業務,是全球IC封裝測試行業的知名企業。矽品本身爲全球前四大專業封裝測試代工服務業者,現屬日月光投資控股公司成員,成爲全球第一大專業封裝測試代工服務業者。
力成科技(Powertech):Powertech Technology Inc.(PTI)成立于1997年,是全球領先的IC後端服務提供商。服務範圍包括IC芯片探測,封裝,最終測試以及預燒至最終産品,並直接交付給全球最終客戶。PTI在全球擁有15,000多名員工,在新竹,台灣淳安,蘇州,中國西安,新加坡和日本擁有世界一流的制造工廠。
南茂科技(ChipMOS):南茂科技主要業務爲提供高密度、高層次之記憶體産品,邏輯産品與混合信號産品之封裝、測試及相關之後段加工、配貨服務。經由南茂提供的整體性機體電路封裝、測試後,客戶的産品即能順利地應用在資訊、通訊、辦公室自動化以及消費性電子等相關産業之商品上。
颀邦科技(Chipbond):颀邦科技爲擁有覆晶封裝技術與晶片尺寸封裝此二類先進技術之專業封裝廠商,其産品線的規劃可完全滿足未來封裝的主流需求。營業項目爲晶圓上金凸塊及錫鉛塊之代工服務,乃先進封裝如:Flip Chip BGA、TAB所必須之過程。其中金凸塊及TAB組裝爲LCD模組所必要,2013年國內投入仟億以上資金發展TFT-LCD(薄膜液晶顯示器模組)相關周之零配件産業,也需求強勁。颀邦科技是國內唯一有能力完成LCD之驅動之IC全程封裝測試之公司。2014年正處於快速成長的階段,估計往後10年內,台灣仍是全世界LCD主要供應地區及使用地區,前景看好。國內擁有半導體制造、應用最完整之體系,從IC設計、晶圓制造、封裝、測試、産品組裝等,不論是自行販賣或代工生産,在數量上都占世界舉足地位。
Kingpak:成立于1997年11月,是台灣最大的集成電路封裝供應商之一。利用TinyBGA核心封裝技術,實現良好的散熱、低能耗、小面積、高容量、高效率的內存封裝應用。隨後,引領業內同行率先采用了世界上第一項PIP包裝專利技術,成爲內存和存儲卡包裝市場不可或缺的參與者。
Kingpak于1999年進入小衆CIS (CMOS圖像傳感器)微包裝領域,主要從事消費品、可穿戴産品、安全監控及汽車相關的CIS包裝以及視頻測試服務。憑借多年來積累的強大研發能力、專業的研發和制造人才、ISO9001\ISO14001認證,以及與全球客戶的合作,公司在自主微細包裝技術方面有著雄厚的基礎,成爲全球汽車相關包裝領域的領軍企業。
韓國:
LB Semicon:LB Semicon成立于2000年2月,是韓國第一家在倒裝晶片凸點領域開展業務並建立了所有必要生産設施的公司。LB Semicon從TFT LCD和OLED顯示驅動器IC(DDI)的金凸點開始,通過不斷開發其倒裝芯片凸點,將其範圍擴展到焊料凸點,Cu柱凸點甚至晶圓級芯片級封裝(WLCSP)技術。
(微信公衆號搜索“TechSugar”並關注,讓我們做你身邊最值得信賴的科技媒體!)